اطلاعیه

Collapse
No announcement yet.

آموزش فارسی زبان توصیف سخت افزار verilog

Collapse
X
 
  • Filter
  • زمان
  • Show
Clear All
new posts

  • آموزش فارسی زبان توصیف سخت افزار verilog

    فایل های پیوست زیر مربوط به آموزش Verilog توسط آقای دکتر گرایلو از اساتید دانشگاه صنعتی
    شاهرود می باشد
    فایل های پیوست شده
    چه کسی میگوید که گرانی اینجاست؟؟؟
    همه جا ارزانی است...
    چه شرافت ارزان
    تن عریان ارزان
    و دروغ از همه ارزان تر
    وچه تخفیف بزرگی خورده است قیمت هر انسان

  • #2
    برای آشنایی دوستان تازه کار توضیحات زیر اینجا قرار میگیره
    زبان توصیف سخت*افزار چیست ؟

    HDL سر نام Hardware De******ion Language ، به خانواده*ای از زبان*های برنامه*نویسی گفته می*شود که جهت مدل*سازی عملکرد بخشی از یک سخت*افزار به کار گرفته می*شوند. توصیف سخت*افزار به کمک HDL ها به دو شکل کلی امکان پذیر است:

    روش اول : مدل*سازی ساختاری سخت*افزار، که با تشریح ارتباطات خارجی، اجزای تشکیل دهنده داخلی و اتصالات میان* آنها، جهت توصیف ساختار و اجزای تشکیل دهنده یک سخت*افزار به کار برده می*شود.

    روش دوم : مدل*سازی رفتاری سخت*افزار، جهت توصیف انتزاعی رفتار یک سخت*افزار بدون در نظر گرفتن جزئیات ساختار آن می*باشد که بدون لحاظ کردن اجزای تشکیل دهنده، به توصیف خروجی*های مدل بر اساس تابعی از ورودی*های آن می*پردازد. مدل*سازی رفتاری یک سخت*افزار در سطوح مختلفی از انتزاع صورت می*پذیرد که سطوح بالاتر رفتار سخت*افزار را به صورت چکیده*تر با جزئیات کمتری نسبت به سطوح پایین تر انتزاع، توصیف می*کنند.

    طراحی یک سخت*افزار بر اساس طرح شماتیک مداری که در گذشته از آن به وفور استفاده می*شد، با اینکه شامل اطلاعات بسیار کاملی در مورد سخت*افزار و اجزای تشکیل دهنده آن بود، اما در توصیف طرح*های بزرگ به خاطر حجم بسیار زیاد اجزای تشکیل دهنده طرح بسیار پیچیده گشته و حجم مستندات آن خارج از کنترل می*گشت. همچنین در روش سنتی طراحی از ایده*های مطرح شده در طرح هیچ گونه حفاظتی به عمل* نمی*آمد و امکان سواستفاده از آنها توسط اشخاصی که طرح به آنها فروخته می*شود، وجود داشت.

    در طراحی یک سخت*افزار با توصیف متنی* آن به کمک یک زبان برنامه*نویسی، هم حجم مستندات طرح کاهش یافته و هم امکان حفاظت ایده*های طرح به وجود آمده است. علاوه بر این توصیف متنی یک سخت*افزار به کمک HDLها برای کامپیوتر قابل فهم*تر از طرح شماتیکی آن سخت*افزار با اطلاعات تصویری می*باشد.

    مهمترین وجه تمایز یک زبان توصیف* سخت*افزار با یک زبان برنامه*نویسی متداول مانند C ، در نحوه اجرای خط*کد*ها می*باشد، در زبان*های برنامه نویسی متداول مانند C خط کد*های نوشته شده به ترتیب از بالا به پایین اجرا شده تا در نهایت به اتمام برسند، در حالی که در زبان*های توصیف سخت*افزار اجرای دستورات ماهیت ترتیبی و سریال نداشته و تمام خط*کدها به صورت موازی و همزمان اجرا می*شوند، در واقع برای توصیف سخت*افزاری که ممکن است از چندین بخش* ساخته شده باشد که خروجی آنها به صورت همزمان تغییر می*کند، زبان*های برنامه*نویسی متداول با ماهیت اجرای ترتیبی خود کاربردی ندارند.

    و در همین رابطه برای کامل تر شدن بحث آموزش Verilog فایل آموزشی مربوط به آقای دکتر صفری از اساتید دانشگاه تهران رو هم می تونید دانلود کنید
    فایل های پیوست شده
    چه کسی میگوید که گرانی اینجاست؟؟؟
    همه جا ارزانی است...
    چه شرافت ارزان
    تن عریان ارزان
    و دروغ از همه ارزان تر
    وچه تخفیف بزرگی خورده است قیمت هر انسان

    Comment

    Working...
    X